Vhdl
• UNIDADES BASICAS DE DISEÑO
2.1 DESCRIBA LOS 5 TIPOS DE UNIDADES DE DISEÑO EN VHDL
• Declaración de entidad
• Arquitectura
• Configuración
• Declaración del paquete
• Cuerpo del paquete
2.2 DETERMINE CUALES SON LAS UNIDADES DE DISEÑO NECESARIAS PARA REALIZAR UN PROGRAMA EN VHDL
• Declaración de entidad
• Arquitectura
• Configuración
• Declaración del paquete
• Cuerpo del paquete
2.3 MENCIONE LAS UNIDADES DE DISEÑO PRIMARIAS Y SECUNDARIAS
|PRIMARIAS |SECUNDARIAS |
|Declaración de identidad …ver más…
library ieee;
2. use iee.std_logic_1164.all;
3. entity Multiplexor is
4. port (e0,e1,e2,e3,s0,s1: in std_logic;
5. F: out std_logic;)
6. End Multiplexor;
2.14 declare la entidad del multiplexor de 4:1 si cada entrada esta formada por un vector de 4 bits
1. --declaracion entidad del multilpexor mediante vectores
2. entity Multilpexor is
3. port (e0,e1,e2,e3,s0,s1: in bit_vector (2 downto 0)
4. F: out bit;)
5. end Multiplexor
2.15 declare la entidad del multiplicador utilizando vectores y el paquete std_logic_1164.
1. library ieee;
2. use std_logic_1164;
3. entity multiplicador is
4. port (x0,x1,y0,y1: in std_logic_vector (3 downto 0);
5. z0,z1,z2,z3: out std_logic_vector (3downto 0));
6. End multiplicador
2.16 Mediante un estilo funcional, programe en VHDL el funcionamiento de una lámpara para código Morse que encienda la luz al presionar un botón y la apague al soltarlo.
1. -- Estilo funcional clave morse
2. Library ieee;
3. Use ieee.std_logic_1164.all;
4. Entity morse is;
5. Port (E: in bit;
6. S: out bit;)
7. End morse;
8. Architecture functional of comp is;
9. Begin;
10.