decodificador VHDL display7 segmentos

865 palavras 4 páginas
INTRODUÇÃO
A linguagem de descrição de hardware VHDL é, devido à sua potencialidade, uma linguagem complexa e por vezes difícil de ser entendida, visto que são inúmeras opções para modelar o comportamento de um mesmo circuito. Nessa linguagem de descrição podemos observar dois tipos básicos de códigos, os estruturais e os comportamentais.
Os códigos estruturais não necessitam de um PROCESS (construção pertencente a VHDL), e sua necessidade de criação de variáveis internas é suprida pela declaração SIGNAL. Além disso, suas execuções acontecem simultaneamente e usam comandos como WHEN, GENERATE e PORTMAP, caracterizando execuções concorrentes. Os códigos comportamentais estão contidos no PROCESS e utilizam comandos como IF - ELSE, CASE e LOOP, evidenciando assim que a sequência de dados seja de extrema relevância. Situações onde essa integração é necessária são tão comuns quanto imaginamos, e essa atuação em conjunto é importante para que consigamos obter a resposta esperada.
Com grande auxilio das ideias evidenciadas acima elaboramos o projeto com o objetivo de otimizar um programa de perguntas e respostas. Quando o apresentador faz uma pergunta, os participantes acionam o botão resposta, a partir dai conta-se um determinado tempo até que a resposta seja dada. Caso a pessoa acerte, o relógio será zerado.

OBJETIVO
Este trabalho tem o intuito de nos familiarizar cada vez mais com a linguagem VHDL, com a sua integração com o circuito esquemático, sua simulação e sua

Relacionados